Re[4]: fixed point
От: Яшин Евгений Новая Зеландия
Дата: 11.04.06 10:52
Оценка:
L>PS. Вот если бы это добро (fixed point) положить на MMX/SSE — вот где счастье-то было-бы!

хотелось бы верить что современные оптимизирующие компиляторы могут сделать это и без нас
Re[5]: fixed point
От: Left2 Украина  
Дата: 11.04.06 11:01
Оценка:
ЯЕ>хотелось бы верить что современные оптимизирующие компиляторы могут сделать это и без нас
ЯЕ>

Разве что Intel-овский. И то — очень мало шансов.
... << RSDN@Home 1.1.4 stable SR1 rev. 568>>
Re[2]: fixed point
От: WinterMute Россия http://yarrr.ru
Дата: 20.04.06 13:32
Оценка:
Здравствуйте, MShura, Вы писали:

WM>>
WM>>template< typename Type, uint t_shift >
WM>>class fixed_T
WM>>{
WM>>    // Раскладывает число "v" на целую и дробные части
WM>>    static void analize( double v, value_type& integer, value_type& fractional )
WM>>    {
WM>>        integer = value_type(v);
WM>>        fractional = value_type( ( v - double(integer) ) * scale );
WM>>    }
WM>>};
WM>>


MS>Если double 64 бита и Type 64 бита (например UINT64), то в функции analize ошибка.


Это понятно, но какой есть способ это обойти. Разве что, как в AGG -- явно задавать WideType.
Подождите ...
Wait...
Пока на собственное сообщение не было ответов, его можно удалить.